基于FPGA的信号调制器设计.docx

  • 需要金币2000 个金币
  • 资料目录论文助手 > 高校设计 > 单片机论文 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2019-03-16
  • 论文字数:9092
  • 课题出处:(小蚊子)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:传统的信号调制器大多都是由模拟电路构成,并且存在连线复杂、成本价也很高、可靠性差,不能满足实际的需求。所以,本课题提出一种基于FPGA的信号调制器设计。采用DDS全数字化频率合成技术,用FPGA现场可编程门阵列,实现AM幅度调制与FM频率调制的信号输出。

本系统采用自行开发FPGA的方法,并通过DSP Builder建立模型,来实现信号的调制系统设计。系统由AM调制的系统、FM调制的系统两大部分组成。AM调制系统设计包括基带信号逻辑,载波信号逻辑,乘法器逻辑,加法器逻辑。FM调制系统设计包括基带信号逻辑,载波信号逻辑,加法器逻辑。由于输出波形是数字波形,需要通过DAC0832转化成模拟波形。同时本文给出模型仿真与RTL级仿真,验证所建立模型的正确性。最后通过实验调试,本系统能产生较好的AM与FM的调制波形。

本设计所采用的是基于DSP Builder的模型建立方法,与传统的硬件描述语言设计方法相比,编程简易、开发周期较短、工作量较少,为今后的信号调制器的设计提供了一种可借鉴的实用方法,具有一定的应用价值。

 

关键词:Matlab;Modelsim;信号调制器;FPGA;VHDL语言

 

目录

摘要

Abstract

1绪论-1

1.1课题研究的背景和意义-1

1.2国内外发展现状-1

1.3课题研究主要内容-2

2设计方案-3

2.1总体设计方案-3

2.2 AM调制器设计方案-4

2.3 FM调制器设计方案-5

3 Matlab仿真模型设计-7

3.1 AM仿真模型-7

3.1.1 AM载波信号发生器的设计-7

3.1.2 AM基带信号发生器的设计-7

3.1.3 AM调制系统设计-8

3.2 FM仿真模型-9

3.2.1 FM载波信号发生器的设计-9

3.2.2 FM基带信号发生器的设计-9

3.2.3 FM调制系统设计-10

3.3 AM调制系统综合图-11

3.3.1时钟逻辑综合图-12

3.3.2 AM调制度选择逻辑综合图-12

3.3.3 AM载波信号逻辑以及基带信号逻辑综合图-13

3.4 FM调制系统综合图-14

4 硬件模块设计-16

4.1总体硬件设计-16

4.2 EP3C55F484C8电路-16

4.2.1电源电路-17

4.2.2时钟电路-18

4.2.3下载电路-18

4.3 DA转换电路-19

5仿真验证-21

5.1 Modelsim仿真结果-21

5.2示波器仿真结果-22

结    论-24

参 考 文 献-25

附录A AM模型图-26

附录B FM模型图-27

致    谢-28


支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费