基于硬件描述语言的交通信号控制器设计.doc

  • 需要金币2000 个金币
  • 资料目录论文助手 > 高校设计 > 工业设计 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2019-12-14
  • 论文字数:10578
  • 课题出处:(胡编辑)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:目前,交通灯被广泛应用在各个路口,它是城市交通系统的重要部分。用来控制车辆的流通性,使交通路口的车辆在通行时井然有序。主要目的是保证十字路口的车辆的流通性,形成良好的车辆交通秩序,减少交通事故的发生。

Verilog HDL(Hardware Description Language)是一种规范性比较强的语言,经常被在电路的设计中使用。用这种语言去设计交通灯控制器时,可以用不同的工具去支持这种语言的描述,也可以通过不同的器件去实现。本文在设计交通灯信号控制器系统时,主要采用Verilog HDL语言中自顶向下的设计方法,使交通道路口的红绿灯可以正常运转,有效的控制车辆的流通。并通过Quartus II完成综合、仿真、绘出仿真波形。

关键词:Verilog HDL;交通灯控制;Quartus II

 

目录

摘要

Abstract

第一章  绪论-1

1.1背景-1

1.2 目的及意义-1

1.3研究的问题-2

第二章  开发环境介绍-3

2.1 EDA技术-3

2.2 Verilog HDL语言-3

2.3 Quartus II介绍-4

第三章  控制系统的设计-6

3.1设计思路-6

3.2模块设计-7

第四章  系统仿真-9

4.1 模块仿真-9

4.2 整体仿真-11

结束语-14

致  谢-15

参考文献-16


支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费