基于FPGA的任意信号发生器.doc

  • 需要金币2000 个金币
  • 资料目录论文助手 > 大学本科 > 工业大学 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2019-12-17
  • 论文字数:13521
  • 课题出处:(一抹彩虹)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:随着数字信号处理、集成电路技术的快速发展,现场可编程逻辑门阵列具有编程可重复性、丰富的资源以及速率高等性能,以FPGA技术为核心的信号发生器与传统的分立元件式模拟信号发生器相比有明显的优势,将FPGA技术用于研究和设计信号发生器,不仅能够得到频率精确的信号,还能使得设计更简便且更易于实现,系统的稳定性也能得到提高。

信号发生器是一种几乎被用于所有电参量的测量的仪器之一。本毕业设计是基于FPGA技术做一个波形可任选、频率在1-4999Hz范围内可调的信号发生器,该信号发生器从相位的概念出发、利用采样定理、通过波形数据查表法产生波形,最终能够按照设定好的参数值,在示波器的屏幕上显示出与所设参数相对应的波形。基于FPGA技术研制一个可以产生任意波形信号的发生器,具有现实的意义。

 关键词:信号发生器;频率;波形;FPGA;DDS

 

目录

摘要

ABSTRACT

第一章  绪论-1

1.1引言-1

1.2信号发生器的发展以及国内外研究现状-1

1.3课题的现实应用-1

1.4课题研究的意义-2

1.5课题研究的主要内容-2

第二章  FPGA技术以及Verilog语言简介-3

2.1 FPGA简介-3

2.1.1 FPGA技术-3

2.1.2 FPGA发展趋势-3

2.1.3 FPGA特点-3

2.2 DDS基本原理-3

2.3 Basys3开发板概述-4

2.3.1 Basys3开发板简介-4

2.3.2 开发板硬件电路-4

2.3.3 开发板关键特性-5

2.4 开发平台概述-5

2.4.1 Vivado软件简介-5

2.4.2 开发流程-5

2.4.3 开发平台关键特性-6

2.5 Verilog语言简介-6

第三章 系统整体方案的设计-7

3.1 基于FPGA的信号发生器设计要求-7

3.2 课题的设计方案流程图-7

3.3 方案的设计的关键和难点-8

3.4 系统设计的注意事项-8

3.5 小结-8

第四章 系统各模块的实现-9

4.1 按键设置模块-9

4.2 拨码选择模块-10

4.3 数码管显示模块-10

4.4 时钟模块-11

4.5 波形产生模块-11

4.5.1 正弦波的产生-11

4.5.2 方波的产生-13

4.5.3 三角波的产生-14

4.5.4 锯齿波的产生-15

4.6 数模转换模块-15

4.6.1 AD7303简介-15

4.6.2 转换电路的设计-16

4.6.3 转换电路实物图-16

4.7 小结-17

第五章  系统的功能验证-18

5.1 系统的整合-18

5.2 系统的测试-18

5.2.1 开发板状态测试-18

5.2.2 三角波产生的测试-18

5.2.3 方波产生的测试-19

5.2.4 锯齿波产生的测试-20

5.2.5 正弦波产生的测试-21

5.3 系统功能验证结论-23

5.4 小结-23

结束语-24

致  谢-25

参考文献-26

附录A  完整程序-27

附录B  引脚约束文件-35

 

支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费