基于FPGA多功能自动饮料机设计.docx

  • 需要金币2000 个金币
  • 资料目录论文助手 > 大学本科 > 科技学院 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2021-02-01
  • 论文字数:12006
  • 课题出处:(蔡老师)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:近年来,随着半导体工艺、电路集成程度的快速发展,FPGA已经在数字电路中应用得越来越广泛,EDA工具逐渐成为电路设计工具并且技术日益成熟。本文介绍了以FPGA技术为核心,运用Verilog HDL语言功能的编程,采用有限状态机(FSM)实现基于FPGA多功能自动饮料机设计。

多功能自动饮料机是能根据投入的钱币自动付货的机器,他是商业自动化的常用设备,而且不受时间、地点的限制,可以节省人力,方便交易。本课题为基于FPGA的多功能自动饮料机设计,设计的多功能自动饮料机采用Verilog HDL语言在Quartus II软件平台上进行编程、编译、综合优化和仿真,最后将生成器件下载到FPGA目标芯片上,完成自动售货机控制系统的功能实现。使有关的设计方法思路得到一个很好的证实与实现,并通过功能仿真和硬件验证的方式论证了程序设计的正确性和有效性。

关键词:FPGA;消抖;FSM;动态显示;SOPC

 

目录

摘要

Abstract

第一章  绪论-1

1.1课题研究背景-1

1.2国内外研究现状-1

1.3研究的前景极其意义-2

1.4课题研究内容-2

1.5本章小结-3

第二章  开发设计基础-4

2.1FPGA简介-4

2.1.1FPGA概述-4

2.1.2FPGA设计优点-4

2.2EDA技术简介-5

2.3Verilog HDL语言概述-6

2.4Quartus II软件介绍-7

2.5有限状态机(FSM)简介-7

2.5.1FSM概述-7

2.5.2FSM设计优点-7

2.5.3FSM设计步骤-8

2.5.4设计FSM的基本原则-8

2.6本章小结-9

第三章  系统的设计与实现-10

3.1多功能自动饮料机的整体描述-10

3.1.1多功能自动饮料机的功能要求-10

3.1.2多功能自动饮料机系统总框图-10

3.1.3多功能自动饮料机基本流程图-11

3.2程序的设计-12

3.2.1外部按键输入模块设计-12

3.2.2进制转换模块设计-13

3.2.3按键消抖模块设计-14

3.2.4 VEM模块设计-15

3.2.5有限状态机(FSM)设计-16

3.2.6数码管动态显示模块设计-17

3.3主要功能的实现-18

3.3.1定价模块-19

3.3.2投币模块-19

3.3.3找零模块-19

3.4顶层原理图-20

3.5本章小结-20

第四章  系统的仿真与验证-21

4.1系统的功能仿真-21

4.2下载调试-22

4.3系统输出显示验证-23

4.4本章小结-24

结束语-25

致  谢-26

参考文献-27

附录-28


支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费