基于硬件描述语言的循环码编译码设计.doc

  • 需要金币2000 个金币
  • 资料目录论文助手 > 高校设计 > 工业设计 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2019-12-14
  • 论文字数:9816
  • 课题出处:(胡编辑)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:在当今社会,由于网络流量的快速增长,在传输过程中,数字信号通常会因为各种原因, 使数据流在传输过程中出现错误,从而令接收端出现图像不稳定、间断、模糊等现象。经过信道编码,处理出现误码的数据流,通信系统拥有抗干扰和纠错能力,能够大大地缩减在码流传送过程期间产生的误码率。换而言之,信道编码从另一方面来说不仅可以提高通信的可靠性,也可以对数据传输速率、误码率产生一定的影响。

在本次设计中,系统开发平台为QuartusII9.0,所采用的主要设计语言是VHDL,用来进行实现程序的载体是可编程逻辑器件。以总体的设计方案来说,此次设计主要是从循环码编译码的原理出发,进一步去论证循环码编译码系统,并且加以实现。所设计的程序能够完成循环码编码以及一位错码的纠错译码。依据所设计的方案与平台,完成了程序的编写和调试,并且通过对程序的运行以及时序波形的仿真,有效地验证了此设计的正确性,基本实现了设计目标。

关键字:循环码;纠错译码;信道编码;VHDL

 

目录

摘要

Abstract

第一章 背景及国内外研究现状-1

第二章 EDA技术-2

2.1 EDA概述-2

2.2 VHDL 概述及特点-2

2.3  可编程逻辑器件-3

2.4  Quartus II 概述-4

第三章 循环码编译码原理-6

3.1 循环码-6

3.2 编码器的设计原理-7

3.3 译码器的设计原理-8

第四章 编译码的功能仿真实现-9

4.1 循环码编译码系统框图-10

4.2 程序设计总流程图-11

4.3 系统仿真-12

4.4 结果分析-14

结束语-16

致  谢-17

参考文献-18

附录-19


支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费