Mealy状态机的应用讨论.doc

  • 需要金币500 个金币
  • 资料目录论文助手 > 大学本科 > 管理大学 >
  • 转换比率:金钱 X 10=金币数量, 例100元=1000金币
  • 论文格式:Word格式(*.doc)
  • 更新时间:2016-12-09
  • 论文字数:5725
  • 课题出处:(唐老鸭)提供原创资料
  • 资料包括:完整论文

支付并下载

摘要:伴随着集成电路(IC)的发展,电子设计自动化(EDA)逐渐成为重要的设计手段,EDA的一个重要特征就是就是使用硬件描述语言(HDL)来完成设计文件;状态机有Moore型和mealy型两种基本类型。设计时采用哪种方式的状态机要根据设计的具体情况选择,输出只由状态值决定的选择Moore型;输出信号和状态值共同决定的选mealy型。状态机是软件编程中的一个重要概念。比这个概念更重要的是对它的灵活应用。在一个思路清晰而且高效的程序中,必然有状态机的身影浮现。比如说一个按键命令解析程序,就可以被看做状态机:本来在A状态下,触发一个按键后切换到了B状态;再触发另一个键后切换到C状态,或者返回到A状态。这就是最简单的按键状态机例子。实际的按键解析程序会比这更复杂些,但这不影响我们对状态机的认识。硬件描述语言发展至今已有二十多年的历史了,并成功的应用于设计的各个阶段:仿真、验证和综合等。到八十年代,已经出现了上百种硬件描述语音,它们对设计自动化起到了极大的促进作用。但是,这些语音一般各自面向特定的设计领域与层次,而且众多的语音使用户无所适从,因此,急需一种面向设计的多领域、多层次并得到普遍认同的标准硬件描述语音。最终VHDL与Verilog HDL语音适应了这趋势的要求。

 

关键词:EDA 、VHDL 、mealy型有限状态机

 

目录

摘要

ABSTRACT

1引 言-1

1.1本课题的理论与实际意义-1

2 硬件描述语言VHDL-2

2.1VHDL程序的基本单元简述-2

2.2VHDL语言的特点-2

3 有限状态机-4

3.1有限状态机的简述-4

3.2Mealy状态机的功能描述-4

3.3状态机的表示方法-4

3.4有限状态机的编码-5

4 MAX+PlusⅡ-6

4.1MAX+PlusⅡ简述-6

4.2MAX+PlusⅡ的特点-6

5 有限状态机VHDL语音MAX+PlusⅡ软件的环境应用举例-7

6 对VHDL语言及Mealy有限状态机研究的结论-10

6.1 VHDL语言在数字电路设计和器件配置过程中必须注意的问题-10

6.2 Mealy有限状态机的应用研究-10

6.3 VHDL语言出现的问题-13

参考文献-14

致谢-15


支付并下载

提示:本站支持手机(IOS,Android)下载论文,如果手机下载不知道存哪或打不开,可以用电脑下载,不会重复扣费